"RIV/49777513:23220/14:43922300" . . "Tato v\u00FDzkumn\u00E1 zpr\u00E1va se zab\u00FDv\u00E1 podrobn\u00FDm popisem implementace vektorov\u00E9ho modul\u00E1toru navr\u017Een\u00E9ho v obvodu FPGA pro \u00FAlohu \u0159\u00EDzen\u00ED 3-\u00FArov\u0148ov\u00E9ho m\u011Bni\u010De s up\u00EDnac\u00EDmi diodami (NPC). Implementace vych\u00E1z\u00ED z popisu algoritmu ve v\u00FDzkumn\u00E9 zpr\u00E1v\u011B \u010D. 22190-036-2011." . . . "Implementation SVMPWM with calculation of trigonometric functions by CORDIC algorithm"@en . . . . "This research report discusses, in detail, the implementation of a vector modulator designed in FPGA for control of the neutral point clampled 3-level converter (NPC). The implementation is based on the algorithm described in the research report No. 22190-036-2011."@en . . "Tato v\u00FDzkumn\u00E1 zpr\u00E1va se zab\u00FDv\u00E1 podrobn\u00FDm popisem implementace vektorov\u00E9ho modul\u00E1toru navr\u017Een\u00E9ho v obvodu FPGA pro \u00FAlohu \u0159\u00EDzen\u00ED 3-\u00FArov\u0148ov\u00E9ho m\u011Bni\u010De s up\u00EDnac\u00EDmi diodami (NPC). Implementace vych\u00E1z\u00ED z popisu algoritmu ve v\u00FDzkumn\u00E9 zpr\u00E1v\u011B \u010D. 22190-036-2011."@cs . . "Implementace SVMPWM s v\u00FDpo\u010Dtem goniometrick\u00FDch funkc\u00ED algoritmem CORDIC" . "RIV/49777513:23220/14:43922300!RIV15-TA0-23220___" . "20836" . . "[BAA22749C82B]" . . "Jan\u00EDk, Du\u0161an" . "Implementace SVMPWM s v\u00FDpo\u010Dtem goniometrick\u00FDch funkc\u00ED algoritmem CORDIC" . "23220" . . "Implementace SVMPWM s v\u00FDpo\u010Dtem goniometrick\u00FDch funkc\u00ED algoritmem CORDIC"@cs . . "Implementace SVMPWM s v\u00FDpo\u010Dtem goniometrick\u00FDch funkc\u00ED algoritmem CORDIC"@cs . . . . "P(ED2.1.00/03.0094), P(TA01010863), S" . . "Implementation SVMPWM with calculation of trigonometric functions by CORDIC algorithm"@en . . "vector modulation, SVPWM, multilevel converter, NPC, FPGA"@en . "1"^^ . "1"^^ . . .